====== CTU_LINT ====== {{:en:mervis-ide:35-help:ctu_lint.png}} ===== Block name ===== CTU_LINT ===== ST call ===== PROGRAM CTU_LINT_DEMO VAR BOOL1,BOOL2,BOOL3: BOOL; LINT1,LINT2: LINT; FB:CTU; END_VAR FB(CU:=BOOL1,R:=BOOL2,PV:=LINT1,Q=>BOOL3,CV=>LINT2); END_PROGRAM ===== Description ===== The block acts as a counter counting up from zero to the preset value //pv//. ===== Inputs ===== |Input|Type|Description |Default value| |CU |BOOL|Rising edge counts one up |False | |R |BOOL|Sets the counter to //// zero|False | |PV |LINT|Final value of the counter |0 | ===== Outputs ===== |Output|Type|Description | |Q |BOOL|State when the counter finished counting (counting finished: //q//=true).| |CV |LINT|Current value of the counter | ===== Application example ===== {{:en:mervis-ide:35-help:ctu_lint_example.png}}